TSMC trasează calea către succesul EUV: mai multe unelte, mai multe plachete și cele mai bune pelicule din industrie

Deși TSMC nu poate pretinde că a fost prima fabrică care a utilizat litografia UV extremă (EUV) – acest titlu îi revine lui Samsung -, poate pretinde că este cea mai mare. Prin urmare, compania a acumulat de-a lungul anilor o experiență semnificativă cu EUV, ceea ce a permis TSMC să perfecționeze modul în care utilizează scule EUV atât pentru a îmbunătăți productivitatea/timpul de funcționare, cât și pentru a reduce costurile de utilizare a sculelor ultrafine. În cadrul Simpozionului european de tehnologie organizat de companie în această săptămână, TSMC a prezentat mai multe detalii despre istoricul utilizării EUV și despre progresele înregistrate în ceea ce privește integrarea EUV în viitoarele noduri de procesare.

Când TSMC a început să producă cipuri folosind litografie EUV în 2019 pe procesul său N7+ (pentru HiSilicon de la Huawei), deținea 42% din baza instalată de instrumente EUV din lume și chiar și în timp ce ASML a accelerat livrările de scanere EUV în 2020,Cota TSMC din instalațiile EUV a crescut de fapt la 50%.. Și sărind în 2024, când numărul de sisteme litografice EUV la TSMC a crescut de 10 ori față de 2019, TSMC reprezintă acum 56% din baza instalată EUV la nivel mondial, în ciuda faptului că Samsung și Intel și-au accelerat propria producție EUV. Este suficient să spunem că TSMC a luat decizia de a intra puternic în EUV de timpuriu și, prin urmare, deține și astăzi cea mai mare parte a scanerelor EUV.

În special, producția de wafere EUV a TSMC a crescut cu un factor și mai mare; TSMC pompează acum de 30 de ori mai multe wafere EUV decât în 2019. În comparație cumai multCreșterea de 10 ori a uneltelor, saltul de 30 de ori al producției TSMC subliniază modul în care TSMC a reușit să își crească productivitatea EUV, să reducă timpii de service și să reducă numărul de opriri ale uneltelor în general. Aparent, toate acestea au fost realizate cu ajutorul inovațiilor dezvoltate în cadrul companiei.

Poziția de lider a TSMC în producția de volum mare de EUV
Date furnizate de TSMC (compilate de AnandTech)
 20192023
Instrumente cumulative1X10X
Ponderea bazei instalate EUV la nivel mondial42%56%
Ieșirea plachetei EUV1X30X
Plachetă pe zi pe instrument EUV1X2X
Contaminarea particulelor de particule de reticul1X0.1X

TSMC spune că a reușit să crească de două ori productivitatea plachetelor pe zi pe sculă a sistemelor sale EUV începând cu 2019. Pentru a face acest lucru, compania a optimizat doza de expunere EUV și fotorezistul pe care îl utilizează. În plus, TSMC și-a rafinat foarte multpelicule pentru reticule EUVcare le-a crescut durata de viață de patru ori (adică a crescut timpul de funcționare), a crescut producția pe peliculă de 4,5 ori și a redus defectivitatea de 80 de ori (adică a îmbunătățit productivitatea și a crescut timpul de funcționare). Din motive evidente, TSMC nu dezvăluie modul în care a reușit să își îmbunătățească atât de semnificativ tehnologia peliculelor, dar poate că, în timp, inginerii companiei vor împărtăși aceste informații cu mediul academic.

Tehnologia EUV cu pelicule a TSMC față de cea comercială
Date furnizate de TSMC (compilate de AnandTech)
 ComercialTSMC (revendicat)
Ieșire1X4.5X
Defectivitate1X0.0125X
Durata de viață1X4X

Sistemele litografice EUV sunt, de asemenea, renumite pentru consumul de energie. Astfel, pe lângă îmbunătățirea productivității instrumentelor EUV, compania a reușit să reducă consumul de energie al scanerelor sale EUV cu 24% prin intermediul unor “tehnici inovatoare de economisire a energiei” nedivulgate. Iar compania nu a terminat aici: intenționează să îmbunătățească eficiența energetică per plachetă per instrument EUV de 1,5 ori până în 2030.

Având în vedere toate rafinamentele pe care TSMC a reușit să le obțină până acum cu litografia EUV Low-NA, nu este foarte surprinzător faptul că firma este destul de încrezătoare că poate continua să producă cipuri de ultimă generație și în viitor. În timp ce rivalul Intel a mizat pe High-NA EUV pentru viitoarele sale noduri sub 18A, TSMC încearcă să profite în schimb de uneltele sale Low-NA EUV foarte optimizate și testate în timp, evitând astfel potențialele capcane ale unei tranziții tehnologice majore atât de curând și profitând în același timp de avantajele legate de costuri ale utilizării uneltelor bine stabilite.

Leave a Reply